2024年5月8日发(作者:)

添加自定义IP核基本步骤

一、 使用工具和参考链接:

PlanAhead,XPS,SDK,ISE。

/blog/3987

/blog/4009

二、自定义IP流程简图如下:

三、功能简介:

本次生成的IP核只是用来控制一个LED灯根据sdk工程中对寄存器赋值来亮和灭。

四、步骤:

1、 使用PlanAhead创建新工程,打开PlanAhead14.4,创建新工程,输入工程名称,点击Next。

注:14.1版本存在问题,在windows系统下使用PlanAhead14.1会出现错误,建议升级至14.4。

2、

选择RTL Project,在Do not specify sources at this time 处打钩,暂时不添加源文件。之后,选择所使用的FPGA型号。之后会有工程的简单

summary,点finish

完成。